Changes

Jump to navigation Jump to search
no edit summary
Line 17: Line 17:  
文件中,增加对MEDIA_BUS_FMT_Y8_1X8、MEDIA_BUS_FMT_Y10_1X10、MEDIA_BUS_FMT_Y12_1X12三种数据格式的支持。
 
文件中,增加对MEDIA_BUS_FMT_Y8_1X8、MEDIA_BUS_FMT_Y10_1X10、MEDIA_BUS_FMT_Y12_1X12三种数据格式的支持。
   −
主要涉及到的函数如下:
+
可以从这个[https://wiki.veye.cc/images/6/65/Capture.7z 链接]下载。
   −
<code>static unsigned char get_data_type(u32 pixelformat, u8 cmd_mode_en)</code>
+
=== v4l2-ctl命令保存图片大小为0 ===
   −
<code>{</code>
+
*常见平台:
   −
<code>switch (pixelformat) {</code>
+
飞凌OK3588-C、临滴LKD3588 平台。
   −
<code>/* csi raw8 */</code>
+
* 解决方案:
   −
<code>case MEDIA_BUS_FMT_SBGGR8_1X8:</code>
+
建议先使用yavta 命令替代v4l2-ctl实现抓取图片并保存的功能。
   −
<code>case MEDIA_BUS_FMT_SGBRG8_1X8:</code>
+
<br />
 
  −
<code>case MEDIA_BUS_FMT_SGRBG8_1X8:</code>
  −
 
  −
<code>case MEDIA_BUS_FMT_SRGGB8_1X8:</code>
  −
 
  −
<code>case MEDIA_BUS_FMT_Y8_1X8:</code>
  −
 
  −
<code>return 0x2a;</code>
  −
 
  −
<code>/* csi raw10 */</code>
  −
 
  −
<code>case MEDIA_BUS_FMT_SBGGR10_1X10:</code>
  −
 
  −
<code>case MEDIA_BUS_FMT_SGBRG10_1X10:</code>
  −
 
  −
<code>case MEDIA_BUS_FMT_SGRBG10_1X10:</code>
  −
 
  −
<code>case MEDIA_BUS_FMT_SRGGB10_1X10:</code>
  −
 
  −
<code>case MEDIA_BUS_FMT_Y10_1X10:</code>
  −
 
  −
<code>return 0x2b;</code>
  −
 
  −
<code>/* csi raw12 */</code>
  −
 
  −
<code>case MEDIA_BUS_FMT_SBGGR12_1X12:</code>
  −
 
  −
<code>case MEDIA_BUS_FMT_SGBRG12_1X12:</code>
  −
 
  −
<code>case MEDIA_BUS_FMT_SGRBG12_1X12:</code>
  −
 
  −
<code>case MEDIA_BUS_FMT_SRGGB12_1X12:</code>
  −
 
  −
<code>case MEDIA_BUS_FMT_Y12_1X12:</code>
  −
 
  −
<code>return 0x2c;</code>
  −
 
  −
<code>/* csi uyvy 422 */</code>
  −
 
  −
<code>case MEDIA_BUS_FMT_UYVY8_2X8:</code>
  −
 
  −
<code>case MEDIA_BUS_FMT_VYUY8_2X8:</code>
  −
 
  −
<code>case MEDIA_BUS_FMT_YUYV8_2X8:</code>
  −
 
  −
<code>case MEDIA_BUS_FMT_YVYU8_2X8:</code>
  −
 
  −
<code>return 0x1e;</code>
  −
 
  −
<code>case MEDIA_BUS_FMT_RGB888_1X24: {</code>
  −
 
  −
<code>if (cmd_mode_en) /* dsi command mode*/</code>
  −
 
  −
<code>return 0x39;</code>
  −
 
  −
<code>else /* dsi video mode */</code>
  −
 
  −
<code>return 0x3e;</code>
  −
 
  −
<code>}</code>
  −
 
  −
<code>case MEDIA_BUS_FMT_EBD_1X8:</code>
  −
 
  −
<code>return 0x12;</code>
  −
 
  −
<code>case MEDIA_BUS_FMT_SPD_2X8:</code>
  −
 
  −
<code>return 0x2f;</code>
  −
 
  −
<code>default:</code>
  −
 
  −
<code>return 0x2b;</code>
  −
 
  −
<code>}</code>
  −
 
  −
<code>}</code>
 

Navigation menu